CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - usb verilog

搜索资源列表

  1. c51

    1下载:
  2. 51单片机,USB,触摸,TFT,的等综合应用,高级别。(usb+flash+touch+tft+ram综合测试)-51 single-chip, USB, Touch, TFT, integrated applications (usb+ flash+ touch+ tft+ ram General Test)
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-03-21
    • 文件大小:1505507
    • 提供者:程明
  1. DE2_70_TV

    0下载:
  2. --- --- --- -Verilog--- --- ---- This design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor shoul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:168888
    • 提供者:Sami
  1. USBtoUART

    0下载:
  2. USB转串口资料,相关USB芯片介绍、程序等-USBtoUART.rar
  3. 所属分类:USB develop

    • 发布日期:2017-04-06
    • 文件大小:690713
    • 提供者:randy
  1. cp_uart_6

    0下载:
  2. 用CPLD驱动UART转USB芯片CP2102的verilog代码,与PC通信 包括CP2102的配置 驱动等-Using CPLD to drive the USB-UART CP2102 interface. verilog code, then communicate with PC, including the configuration and drivers, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:789
    • 提供者:vicky
  1. uart_tx_rx

    0下载:
  2. 该工程用verilog编写,已通过串口调试助手调试通过,接收模块采用8倍波特率采样数据,有较好的滤波功能,在PC上完成自发自收功能。-Verilog prepared by the project, has passed through the serial debug debugging assistant, receiving 8 times the baud rate module sampling data, a better filtering in the PC to complet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1312980
    • 提供者:eric
  1. WORKS

    0下载:
  2. Project of Adquisition Data, show in VGA and send to usb host
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9917586
    • 提供者:lagartojj
  1. VerilogFPGAUSB

    0下载:
  2. 用Verilog(FPGA)实现USB源代码大家-Using Verilog (FPGA) source code we look to achieve USB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:153273
    • 提供者:yang
  1. usbjtag

    0下载:
  2. 用于USB blaster下载线设计的JTAG仿真用的Verilog源码-For the USB blaster download cable design simulation using Verilog source JTAG
  3. 所属分类:USB develop

    • 发布日期:2017-03-30
    • 文件大小:6172
    • 提供者:chen
  1. OpenSource_H64

    0下载:
  2. gl850 usb hub原理图gl850 usb hubgl850 usb hub-gl850 usb hub
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:93208
    • 提供者:wdt
  1. verilog

    0下载:
  2. FPGA中verilog语言实现的的USB接口协议,希望能对大家有用-FPGA verilog language in the USB interface protocol implemented in the hope that useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94111
    • 提供者:铁牛
  1. USB_CY7C68013_Verilog

    0下载:
  2. 利用verilog语言读写基于CY7C68013A的USB器件,使用,轻松上手。-Use language to read and write verilog CY7C68013A based USB device, use, easy to get started.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:199329
    • 提供者:王先生
  1. usb_latest.tar

    0下载:
  2. USB 源码。Verilog实现的USB程序,用ISE打开工程文件即可-USB verilog code。-Verilog implementation USB program, open the project file with the ISE can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:195880
    • 提供者:大斌
  1. usb20hr_ocp_eval_pack

    0下载:
  2. 高速usb verilog fpga设计-usb verilog fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-01
    • 文件大小:14018560
    • 提供者:zyc
  1. USB-245BMWR20121211

    0下载:
  2. 关于用verilog语言进行USB芯片FT245BM读写的代码-The verilog language USB chip FT245BM read and write code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:149973
    • 提供者:fanwei
  1. the-verilog-code-of-can-usb-i2c

    0下载:
  2. CAN总线,I2C,USB等的FPGA实现源码-CAN bus, I2C, USB, etc. FPGA implementation source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:1912235
    • 提供者:bailang
  1. USB

    0下载:
  2. 此例程是基于FPGA的USB控制器实例,主要功能为通过FPGA芯片控制USB芯片,实现开发板和PC机之间的USB接口数据通信,来模拟一个硬件加密设备的功能。用Verilog语言实现。-This routine is an instance of the USB controller based on FPGA, the main function is to control USB chip by the FPGA chip, implement the USB interface for da
  3. 所属分类:USB develop

    • 发布日期:2017-11-10
    • 文件大小:155022
    • 提供者:张彦钦
  1. can_exm1_sys

    0下载:
  2. CAN总线的数据采集,FPGA到USB。verilog hdl语言。-CAN bus data acquisition, FPGA to the USB. verilog hdl language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:756367
    • 提供者:xiaolou
  1. usb

    0下载:
  2. USB slave: It is contain a USB slave design written in verilog language. It is a interface between USB host and Functions such as memory, Keyboard, mouse and so on.-SB slave: It is contain a USB slave design written in verilog language. It is a inter
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:231014
    • 提供者:william
  1. verilog

    0下载:
  2. 关于USB开发的verilog开发程序,非常的全面,学习FPGA开发时用得着。-About USB development verilog development process, very comprehensive, learning FPGA development time worthwhile.
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:93758
    • 提供者:李阳
  1. usb

    0下载:
  2. pc与fpga之间的数据传输 在fpga上有一个usb芯片cy68013 用verilog来对usb芯片进行控制-the communication between pc and the fpga,these is a cy68013 on the fpga,which is controled through verilog
  3. 所属分类:USB develop

    • 发布日期:2017-05-13
    • 文件大小:3126492
    • 提供者:marvin
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com